星期日, 5月 06, 2007

積極

我不是一個很積極的人,尤其是我遇到我想都想不出來的問題時,但是我沒有想過放棄,因為問題還是在那裡。

這次我遇到的VHDL問題是我聞所未聞,見所未見,我真的一度問自己,是不是自己功力不足(這答案很肯定),一度把自己的檔案重寫,重看,結構重來,後來聽從老師的建議,裝了怪獸級的軟體(Quartus II,web edition 要540mb),找到問題,重新compile 終於看到不同的error messenge,看了之後,也發現到自己的問題,尚未解決,但是已燃燒重新快速解決問題的心

我學程式語言,好像一開始都是盡量靠自己亂學亂寫,等遇到真正的問題時,我會鎮定思痛重新好好看一本書(C++就是C++ Primer),重新學那一套學理,但是很有用助於自己理性思考的好想法。

---
現在VHDL也是這個時候了

沒有留言: